ulx3s-code/blink.v

34 lines
586 B
Verilog

module blink(
input clk_25mhz,
output [7:0] led,
output wifi_gpio0
);
wire i_clk = clk_25mhz;
wire [7:0] o_led = led;
assign wifi_gpio0 = 1'b1;
reg [$clog2(10000000)-1:0] r_counter;
reg r_ledState = 0;
always @(posedge i_clk) begin
if (r_counter == 10000000) begin
r_ledState <= !r_ledState;
r_counter <= 0;
end else begin
r_counter <= r_counter + 1;
end
end
assign o_led[0] = 0;
assign o_led[1] = r_ledState;
genvar i;
generate
for (i = 2; i < 8; i = i + 1) begin
assign o_led[i] = 0;
end
endgenerate
endmodule